[管理者用]

イゼルローン日誌
宇宙・・・それは人類に残された最後の観光地である

         
戻る 
01月
02月
03月
04月
05月
06月
07月
08月
09月
10月
11月
12月
1998年
1999年
2000年
2001年
2002年
2003年
2004年
2005年
2006年
2007年
2008年
2009年
2010年
2011年
2012年
2013年
2014年
2015年
2016年

2016年09月
9月29日 ・仕事関係で送られてきたもの不在連絡票が入っていた。配達手配しようとしたら、宅配便なのに「現在、ネットで再配達依頼ができない」ときたものだ。
・電話をかけて切ってから、曜日の勘違いに気づいて再度電話。とりあえずこれでよし。

9月28日 ・なんとなく数列な問題で頭の体操。ぼんやり眺めていて、多分こういう方針なら綺麗に収まりがつくだろうなという予測で勧めてみるとうまく行ったりする。答えを出すというよりも、こういう風にイメージで把握していくほうが良いんだろう。

9月27日 ・万引き防止に、画像処理で不審な動きをする人を感知するというものが開発されている。御認識されたら嫌だなという一般的(と思う)感想と面白いなという技術屋的装置イジメな興味が交錯する。
・となると、目が不自由な方が誤って転落しそうな挙動を示した時にすぐ音声で警告してくれるなんていうこともできるようになるのかな。
・そういえば、駅などで自殺しそうな人を見つけるということもできるのかなと思ったものの、その開発には実際に駅構内で自殺した人の映像が多分「学習用データ」として必要になるのだろう。成果は評価されるだろうけど、あまりやりたくない仕事だな。

9月26日 ・ちょっと必要に迫られて漸化式。高校生レベルなのに、全然使っていなかっただけに、忘却の彼方・・っていう感じだったけども、やっているうちに思いついたものが、特性方程式そのものだと、後で気付く。もう少しリハビリしないと駄目かな。

9月25日 ・さて、ちょっと忘れていた事があった。明日電話しておこう。
・本を整理していたら数学の本が出てきてパラパラとめくってみる。このくらいだと瞬間に分からないとなぁ・・と思うものにちょっと躓いてしまったり。いかんいかん。

9月24日 ・秘密の出入り口はやっぱりあったようだけど、蓋を置いただけというのでは虫も出入りし放題で「秘密感」が無い。
・ところで、下が砂利なところは仕方ないけど、型枠を作ってそれなりの厚さのコンクリートを打っているなら、あんなに水が漏れてくるような技術力ではないはずだから、きっと秘密の給水口があるのだろう。
・さて、Lubuntu+wine+xyzzyでのテストを兼ねた原稿書き。何度か書きなおしているうちにだんだん方針が変わってきたな。
・ちょっと実験がてらAVR-gccでC++を使ってみる。といってもごく単純にclassを使ってみただけ。
・とりあえずMakefileを変更してmakeしてみたら、何事もなくmake完了。できたオブジェクトを逆アセンブル。ざっと眺めてみたけど、まぁこんな感じになるだろうなというところ。


9月23日 ・豊洲の件で、今頃ストーリづくり(口裏合わせとも言う)のための会議で大変なのだろうな。深夜の都庁で電気が付いているあたりの部屋の方々が絡んでいたりするのだろうか。
・やっぱりwineでインライン入力がうまく行かないみたいだな。これさえうまく行けばと思うのだけど。
・そういえば、MicrosoftもUoWなんていう具合でWindows内にLinux(Ubuntu)を取り込みたい意向のようだ。
・庇を貸して母屋を取られるっていうことになっていかないのかな?

9月22日 ・そんなこんなで、特許庁から「出願(申請)番号通知」なる葉書が来ていた。開いてみると、「提出された上記書類に出願(申請)番号が付与されましたので通知します」とのこと。
・商標の申請が受け付けられて、管理用の番号がつけられたということで、これから拒絶するのか受理するのかが決まるということだ。受理された時点で登録のためのお金を更に支払うと10年間権利化できるらしいけど、受理するかどうかの審査で半年から1年くらいかかるというから気の長い話である。

9月21日 ・さて、少しずつLubuntuな環境に慣れてくると、xyzzyをサクッと起動したくなってくる。とりあえずスタートメニュー(アプリケーションメニュー)の中にxyzzyを入れるのにはどうしたら良いのだろうと見ていたら、menulibreというお役立ちツールがあるということを知る。
・さっそくapt-get installしてみたら、これが簡単。wine下で動かすので、コマンドラインは/usr/binwine ~/xyzzy/xyzzy.exeでよし。
・これでスタートメニューはもちろん、ファイルの右クリックで出てくるアプリケーション選択でもxyzzyが出てくるようになった。

9月20日 ・あまりにも話がおかしいので、改めて確認していった。こんなとんでもないバグがいつまでも残っているわけがない。
・散々悩んだけど、結局はこちらの勘違い。アドレスはワードアドレスでカウントするというのがAVRなやり方だったようだ。
・さて、仕切りなおして改めて眺めたりテストしていて変なことに気がついた。単に関数へのポインタをセットするだけで動きがおかしくなる。更にテストしていくと、単にグローバル変数に値を書いているとおかしくなる。
・あぁ・・と、ここで気がついた。0x60からグローバル変数をとっているということは、おそらく.dataセクションが0x60(0x800060)からとられているのだろう。でもATmega328のマニュアルを見るとこの領域はExt I/Oレジスタ領域。つまり、I/Oレジスタ領域をRAMだと思い込んで書き換えてしまって、結果的に動作がおかしくなったというオチだった。
・このアドレスの割付はオブジェクトをリンクしてelfを生成するときにやっているはず。リンク時にATmega328Pだと教えてやらないとデフォルトの位置としてここに割り振るのだろう。
・ということで、Makefileを修正して再度makeしたら今度は、ベクタ領域の衝突という警告。昨日、スタートアップルーチンとしてcrtatmega328p.oをリンクしていたけど、リンク時にCPU種別を指定すると勝手に引っ張ってくるんだな。
・これでどうやら無事にいったようだ。何のことはない、結局、avr-gcc起動の時は、いつも-mmcpu=atmega328pを忘れないでねというだけのことという、つまらないオチだった。
・さて、それでは改めてやりなおそう。動作がおかしくてテストしていたソースコードのディレクトリに戻って、Makefileを修正して、make。うん、ちゃんとできている。
・フルカラーLEDをつないで動作確認。ロジックアナライザでI2Cバスプロトコルを見たけど、ちゃんと予定どおり動いているし、LEDもそれなりの動きをちゃんとしている。LCDに設定しているデータを表示。これも問題なし。
・加速度センサと、LED、LCDをつないだサンプルを持ってきてmake。これも問題なし。
・一応開通と見て良さそうだ。AVRもファミリに加わったな。



9月19日 ・豊洲の地下空間。重機を入れるためだということだとすると、重機のための秘密の出入り口があるということかな?
・さて、I2Cアクセスができたので、低レベルI/O関数を作成。一応関数の動作をテストするプログラムを書いて動かしてみたら無事に動作した。
・これでよしということで、すでに動いているプログラムを持ってきてみたけど、全然動かない。
・何が起きたのかよくわからなくて、怪しげなところをあたっていくうちに、関数へのポインタを使うとリセットされたようになってしまうということになってきた。
・改めて生成されたELFの逆アセンブルリストとHEXファイルを付きあわせてチェックすると、なるほど変なことになっている。以下は

UINT8 (*functiontest)(UINT8);

UINT8 testfunc(UINT8)
{
・・


main()
{
functiontest = testfunc;
・・・
functiontest(0xcd);
}
がコンパイルされたところ。

----
000000a8 <testfunc>:
a8: cf 93 push r28
aa: df 93 push r29
ac: 1f 92 push r1
----
・・・
---−
000000cc <main>:
cc: cf 93 push r28
ce: df 93 push r29
----
・・・
---−
d6: 84 e5 ldi r24, 0x54 ; 84
d8: 90 e0 ldi r25, 0x00 ; 0
da: 90 93 9d 00 sts 0x009D, r25 ; 0x80009d <functiontest+0x1>
de: 80 93 9c 00 sts 0x009C, r24 ; 0x80009c <functiontest>
---−
・・・
---−
e8: e0 91 9c 00 lds r30, 0x009C ; 0x80009c <functiontest>
ec: f0 91 9d 00 lds r31, 0x009D ; 0x80009d <functiontest+0x1>
f0: 8d ec ldi r24, 0xCD ; 205
f2: 09 95 icall
---−

testfunc()は0x00a8にあるのだけど、ポインタには0x0054をセットしている。そして呼び出し時にはこれをldsしてきて、icallする。あれ?これでは0x0054に飛んでしまう。一方、0x0054は割り込みベクタ領域で、

---−
54: 0c 94 52 00 jmp 0xa4 ; 0xa4 <__bad_interrupt>
---−
・・・
---−
000000a4 <__bad_interrupt>:
a4: 0c 94 00 00 jmp 0 ; 0x0 <__vectors>
---−

という具合で、0x00a4に飛んで、0x0000・・つまりリセットベクタに飛ぶ。
・さて、この0x0054はどうやって計算された値で、これにどう対処したら良いのかな?

・さて昼食の間もぼんやり考えていたけど、0x54と0xa8という関係がいかにもそれらしい。色々書き足して確認してみたら、ほら案の定。どうやら1ビットシフトした値になってしまっているらしい。たぶん、AVRの命令コードが2バイト単位なので、ムニャムニャ・・っていうところなのだろうな。

9月18日 ・さて、ATMegaでLED点滅も動いたし、次はI2Cでも動かすかと、マニュアルを眺めながらプログラムを組んで書き込んだのだけど、全然動かない。LEDすら点灯しないのはなぜ?
・そういえば、リセット後からどうなるんだっけと思ってHEXファイルを眺めたら、あれ?0番地からプログラムが置かれている。AVRのマニュアルを見ると、先頭領域は割り込みベクタテーブルで、2バイトずつ命令コードを置くということ。懐かしの8080/Z80スタイルか。
・となるとやっぱりスタートアップが必要なんだな。先日スタートアップのように見えたのは、よく見れば単なる関数のエントリだったということか。
・さて、スタートアップはどうすれば良いのかな?といろいろさがしていたら、どうやら、ツールチェーンをインストールした時のディレクトリの下にあるcrtmega328.oをリンクすれば良いようだ。ディレクトリ指定も面倒なので、そのままカレントディレクトリにコピーしてMakefileをちょっと書き換えてmake。これでHEXを眺めたら、なるほど、先頭にそれらしくベクタが入った。
・一応ELFを逆アセンブルした結果も眺めて一応OKらしいことも確認。
・これでI2Cのレジスタに1バイト書くだけのプログラムを書き込んで動かしてみる。I2Cの先にターゲットをつないで、ロジックアナライザをつないで、レジスタライト。おや、一発でうまくいったみたいだな。綺麗にスタートしてデータライトしてストップしている。

9月17日 ・ということで、xyzzyをコピーしてきてwine xyzzyなんて具合にしたら何事もなく動いた。M-xを使ってコマンドラインを起動してみると、まるっきりMS-DOSプロンプト。PATHもWindowsのようになっている。
・だが、一点だけ。日本語入力に難がある。フォントの問題にによる文字化けは起動した後にフォントを選び直せば良いだけなのだけど、入力がうまくインライン、すなわちカーソル位置での入力にならなかったりする。
・日本語入力はFcitxなのだけど、このコンフィグレーションのXMF Frontendのところで「設定」ボタンを押して”On The Spotスタイルを使う”をチェックしても、うまくいったり、いかなくなったりで安定しない。メモ帳相当のLeafPadやらブラウザでこうして日誌なんかを書いている分には問題なくうまくいくので、wineの問題なのかな。
・Shiftキーで日本語入力のON/OFFすることもできたりと、いろいろ便利にできているしで、これでインライン変換だけ問題がなくなればいいのだけど。
・豊洲の件でもめている。豊洲はいままで細切れで出てきているように問題点がたくさん指摘されているけども、ここにきていよいよ「誰がどうしてこうすることにしたの?」という本丸に迫らざるを得なくなった感がある。図面があるからにはそのための仕様書もあるはずだし、仕様書を取りまとめる段階の資料もたくさんあることだろうな。まぁ、おおかた・・・やめておこう。
・ということで、もういい加減あきらめて、別の用途は無いのだろうかな。野菜工場にしてしまうのはどうなんだろうとかとも思ったけど、ブースの狭さを逆手にとって、小規模店舗と試作用の装置などが並ぶスタートアップタウンとかもありなのかな。
・0.5インチウェハを使って、1個単位でカスタムICを作ってくれる「(株)ネイタス」みたいなのもできているのだし、「とりあえず、ここにくれば何でもあり」という場があったら良さそうだ。
・ターレーの行き来を考えたってことは、セグウェイみたいな「次世代乗り物」を実験走行させる余裕もあるのだろうし。
・オリンピックを見物した方々への「モノづくり日本」のアピールにもなるのではないのかな?

9月16日 ・さて、先日のavr-gccな環境でできたHEXを書いてみる。書き込みはユニバーサルプログラマでいける。
・ブレッドボードにゼロプレッシャーソケットをつけて、電源とLEDをつないでおく。
・試しに8MHz動作設定で500msごとにLEDが点灯/消灯を繰り返すするものを書いて挿入したけど、全然動かない。おかしいなと思ってユニバーサルプログラマのメニューを見ていると、どうやらヒューズ設定が外部クロックモードになってしまっていたようだ。これを修正。
・今度はやたらと遅い。どうも周期から見て8倍程度になっている。ありがちな展開だなと思って、再びヒューズ設定を見ていたらそれらしいものがあったので、変更。
・これで予定通り0.5秒ごとの点滅になった。一応これで開通だな。
・こんなことをしながら、なんとなくLubuntuにもブラウザ(Firefox)が入っていたなとか思いながら使っていると、これが意外と具合が良い。GUIを弄っている感覚がWindowsに似通っていて、ついLubuntuであることを忘れてしまいそうだ。
・漢字/半角の切り替えでALT不要で「半角/全角」キーだけで切り替わるところを除けばかな漢字変換も違和感なく使える。
・実は今日のこの日誌もLubuntu上のFirefoxで書いてみている。
・あとは、テキストエディタが移行できたらいいのだがな。とりあえずwineでも入れたらxyzzyが使えるかな?うまくいけば、原稿書いてみるのも悪くなさそうだ。

9月15日 ・そんなこんなで、次の方はATMELさんのATMega328P。宿敵(?)のMicrochipに買収されてしまったけど、Arduino UNOあたりでお馴染みのアレである。でも、今回はArduinoではなくて、スタンドアロンで使おうという試み。
・とりあえずAtmel Studioあたりかなと思ったけれども、ついにWindows7以降のみの対応ということ。とりあえずWindows10が動いている環境はあるとはいうものの、オフラインインストーラを見ると
 Atmel Studio 7.0 (build 1006) offline installer
 (856 MB, 更新日 2016年, 6月)
なんて書いてある。さすがに大きいな。もうちょっとなんとかならないのかと思っていると、「Atmel AVR Toolchain for Linux」というのがある。例によってLubuntuの下で動かせるのかな?と試してみる。
・とりあえず、tar.gzをdownloadディレクトリにダウンロードしてきて、tar -xf (ファイル名)で解凍。
export PATH="$PATH:/home/(ユーザ名)/download/avr8-gnu-toolchain-linux_x86_64/bin: /home/(ユーザ名)/download/avr8-gnu-toolchain-linux_x86_64/libexec/gcc/avr/4.9.2"
とかいうのをsetpathというのを作っておいて、soure pathでパスを設定すればいい。
・試しにavr-gccでコンパイルしようとしたらcc1が無いとかなんとかと文句を言う。でも、何故か一回root権限で動かしたらそれ以降は大丈夫になったみたいだ。なんだかよくわからないけど良いとしよう。
・あとはavr-gccでelfを作って、avr-objcopy -O ihex (ELFファイル名) (HEXファイル名)してやれば、HEXファイルができる。
・スタートアップ部分などがちょっと気になるので、avr-objdump -d (入力)してやると逆アセンブル結果が出るので、ざっと眺める。結局スタック設定してRAMクリアしてるくらいかな。とりあえずこんなもんでいいんだろう。

9月14日 ・Vivado_HLSのCシミュレーション問題解決。いろいろやっていくうちに、makeが無いということに気付いた。そう、Lubuntuはそのあたりが無いのだった。gccは以前LLVMのテストの時に入れたけど、makeは入れてない。
・ということで、sudo apt-get install make。ついでにsoftware-centerも入れておいた方が良いよっていうのをどこかで見かけていたので、これもついでに入れて、さてvivado_hlsを起動。
・これでシミュレーションしてみたら問題無い。
・ということで、ちょっとお試しで、サラッと簡単な演算だけして戻ってくるようなものを書いて動作合成にかけてみる。基本的にごく普通のvoidな関数で、入力値は数値、出力値はポインタを使えば良い。

void addinc(int *outdat, int indat0, int indat1)
{
int s;
s = indat0 + indat1;
s++;
*outdat = s;
}

こんな感じだ。別に行を分けるほどでもないけど、一応やってみたというところ。

・これを合成すると、RTLとしてsystem-cとverilogとVHDLが同時に生成される。たとえばverilogは

`timescale 1 ns / 1 ps
module addinc (
ap_start,
ap_done,
ap_idle,
ap_ready,
outdat,
outdat_ap_vld,
indat0,
indat1
);

parameter ap_const_lv32_1 = 32'b1;

input ap_start;
output ap_done;
output ap_idle;
output ap_ready;
output [31:0] outdat;
output outdat_ap_vld;
input [31:0] indat0;
input [31:0] indat1;

reg outdat_ap_vld;

wire [31:0] tmp_fu_37_p2;

always @ (*) begin
if (~(ap_start == 1'b0)) begin
outdat_ap_vld = 1'b1;
end else begin
outdat_ap_vld = 1'b0;
end
end

assign ap_done = ap_start;
assign ap_idle = 1'b1;
assign ap_ready = ap_start;
assign outdat = (tmp_fu_37_p2 + indat0);
assign tmp_fu_37_p2 = (indat1 + ap_const_lv32_1);

endmodule //addinc

という具合で、assignでおしまい。これでは面白くないので、試しに加算部分を
char c;
for (c=0; c<10; c++) {
s += indat0 + indat1;
}

という具合にループさせてみたけど、簡単に見破られたらしくて、やっぱりassignで片付けられてしまった。

・ということで、出てきたverilogを眺めてみると、ごく普通の書き方がされているだけで、デバイス固有の表現は無い。もっと複雑なものを食べさせたら、専用っぽいRTLが出るのかもしれないけど、この手のものなら単なるC=>verilog/VHDLトランスレータとして使って、汎用のシミュレータにかけることもできるってことだな。

9月13日 ・というところで、東芝さんのanalogramなるデバイス。
・プログラマブルなアナログICということで、中身は・・・というと、オペアンプ4個にコンパレータ4個、そして抵抗・コンデンサ、PMOS/NMOSのFET、NOT/NAND/NORの各ゲート、そして基準電圧源、2.5Vレギュレータを内蔵したというもの。中に詰め込んだ数はごく少量だけど、オペアンプ回路の教科書的なものならだいたい入る感じかな。
・接続は外部からレジスタアクセスして設定するほか、ワンタイムPROMを持っていて、ここに書き込んでやれば電源ONで自動的に初期設定するので、スタンドアロンのアナログデバイスとしても使えるという寸法。
・動作電圧は5Vということで、このあたりも実験用には便利かな。
・digikeyあたりで簡単に手に入るようになれば良いのだけどな。

9月12日 ・とりあえず、1900円なりを「工業所有権電子情報化センター」とやらに納付。一般財団法人ということだけど、まぁそういうことなんだろうな。
・PSoC5LPでVerilogをちょろちょろと書く程度で、ずっと遠ざかっていたFPGAの世界だけど、1年近い遅れをもって、XilixのC合成・・Vivado HLSが期限無しの無償化されたことを知った。
・それなら試すしかない・・と思ったけども、サポートしているOSがWindows7以降のProfessionalEdition限定。一応使っているノートPCはWindows7Proだけど、「お試し」なので、ここにはインストールしたくない。とはいえ、Windows10もHome Editionだしな。
・ということで、ここはLinuxの出番か。Ubuntuはサポートされているようだけど、Lubuntuはどうかな?ということで試してみる。
・とりあえず、Firefoxでダウンロード。.binファイルなので、そのまま実行できるのだろう。実行権をつけてLXTerminalから実行したらGUIが立ち上がる。
・インストール先がデフォルトで/optだけど、ここはroot権限がないと書き込めない。sudoする手もあるけど、/home下のユーザディレクトリの下にVivadoディレクトリを指定。2Gバイトほどのダウンロードが始まったけど、一体どうなる事やら。
・さて、インストールも終わり、最後にライセンスマネージャになったけど、別に追加のライセンスで欲しいものは無いだろうということでパス。
・インストール先のディレクトリを掘っていくとvivado_hlsとかいうそれらしい実行ファイルがあるので、起動してみたら一応画面は出た。これで動くのかな?
・検索したらチュートリアルのPDFがあったので、やってみる。チュートリアルファイルはXilinxさんのサイトからダウンロード。さて、途中までいったけど、シミュレーションが通らない。ためしに合成してみるとできるので、シミュレータが起動できないということか。やっぱりライセンスなのかな?
・とりあえず、VivadoとISEのフリーなライセンスのところにチェックを入れて.licファイルをメールで送信してもらう。vlm(vivado license manager)で、ファイルを指定したら読み込んでくれた。
・これでHLSを再起動。プロジェクトをオープンしてもう一度・・・やっぱり駄目みたいだな。まぁ、合成はできるようだから良いとするか。


9月11日 ・さて、組みあがってきた基板20枚の動作チェック。RaspberryPiの拡張ボードとして動くため、基板を取り付けて電源ONして動作確認したらシャットダウンして電源切って基板交換して、再び電源ON・・・という具合でテストに時間がかかる。
・テストプログラムはNode-REDで作ってしまった。起動にちょっと時間はかかるけど、どうせシェルまで起動するなら、同じことだし。なにより、こういう用途には楽でいい。

9月10日 ・100円ショップの充電器でスマホを充電していたらメールが到来。どれどれ・・・と思って開こうとしたら動きがおかしい。一回しかタップしていないのに、何度も叩いたようになる。試しに指を押し付けていても勝手にタップしたようになる。
・タッチパネルが壊れたか!と心配したけど、原因は充電器。どうも出力がノイジーで、タッチパネルに悪さをしていたということらしい。
・家にもう一つあった、これまた100円ショップな立方体型な充電器だと問題が無い。
・とはいえ、値段からして明らかなように、中身はかなりお粗末なことは確かだろうけどな。

9月9日 ・特許庁からお手紙。何かな?と思ったら紙ベースで提出したときは電子化手数料が必要だから振り込んでねということ。請求額1900円なり。申請する分野数などが増えれば当然増えていくということで、沢山申請する人はやはり電子申請すべしということだな。
・電子申請なら必要無いのだけど、確か登録だの、IDカードだのが必要なんじゃなかったかな。

9月8日 ・とりあえず、マスタはできた。少しPowerPointファイルを修正。これでよし。
・さて、次の仕事の話。いろいろなものが段々集約されていく感もあるのだけどな。

9月7日 ・依頼していた基板が組みあがったようだ。まぁ、これでよしとしよう。
・マスタとなるSDカードを作成しなくては。とりあえずあいていた8GBのカードにNOOBSを入れてインストール。

9月6日 ・とりあえず、サンプルはできた。
・これでテキストのPowerPointを作ったら合計はゆうに100枚を超えていた。まぁ、このくらいあればなんとか時間は潰せるかな。

9月5日 ・さて、テキストも大詰め。だいぶ形になってきたな。あとはMQTTを使うサンプルを使ってやれば良いか。

9月4日 ・Node-REDでPOSTデータの受け取りを試す。POSTされたデータは一体どんな具合になるのだろうと、httpノードを使って
<HTML>
<BODY>
<form action="http://xxx.xxx.xxx.xxx:1880/data" method = "post">
<input type = "text" name = "Rdat">
<input type = "submit">
</form>
</BODY>
</HTML>
なんていう具合のHTMLを返すようにして、/dataへのPOSTで待ってみる。
・結果はというと、入れた文字列が{"Rdat":"123"}てな具合のオブジェクトとして到着する。なるほど、これなら扱いは簡単だ。msg.payload.Rdatで値が取得できる。

9月3日 ・待機児童、待機児童・・・とまたニュースに流しているのは誰なのだろう。○百人だ、○万人だと、どういう基準で誰がどうやって調べて算出したのか今一つ明確でない感じの数字だけが踊っている。
・人数だけは出してくるけど、それに対して出てくるものは抽象的。「○○を増やして・・」というたぐいばかりで具体的な数字になっていない。定性的には語るけど定量的に語ることができていない。
・簡単に言えば、「耐圧を引き上げます」ではなくて「耐圧○kVにします」でなくてはならないだろう。
・これだけ受け入れられなくてはならないと予想される、それに対してはこのエリアにこれだけ必要で、そのための職員はこれだけ必要で、そのための予算は初期投資がこれだけ、維持にはこれだけのお金が毎年かかる・・・というのを算出することくらい、税金で高い給与を受け取っているような優秀な方々には造作もないことだろう。この議論はまずはそこから始まるものではないのかな?
・そうすれば、果たして実現可能なものなのかどうかわかるだろうし、別の道を考えなくてはならないものなのかもわかる。
・でも、こんなことは百も承知のはず。それをしないのは、しないだけの理由があるのだな、きっと。

9月2日 ・Windows10なタブレットPCを使ってみる。フラッシュディスクなので、開発環境のようなものを入れるのはあまり向いていないのかどうなのか。
・とりあえず全部初期化して自分環境にして動かしてみるけど、やっぱりなんだか慣れない感じだな。
・キーボードはでいつも思うのだけど、使用頻度からすると、Shift=>Ctrl=>ALTという感じなのに、これらのキーが他のキーとの併用にあまり配慮されないことかな。
・逆に便利なのは縦型で使えること。原稿を書いたりするときには横は40文字くらいでいいので、縦の行数が欲しい。これにはとてもお役立ち。
・一応家庭内のファイル共有などには参加させたし、プリンタドライバと愛用エディタのxyzzyは入れたので、しばらくいろいろやってみよう。
・そんなところで部品と基板を実装屋さんに発送。

9月1日 ・何となく「翻訳アプリ」で検索したらNICTが作成した音声翻訳アプリのVoiceTraが出てきた。評価を見てみるとつい先日NHKでも紹介されたらしい。
・面白そうなのでiPodとスマホの両方に入れてみた。文章の翻訳としてはWeblioなどの方が良いようだし、どうも認識がなかなか上手く行かないところもあるようだけど、トラベル英会話の類はそこそこ良い変換テーブルを持っているようだ。
・面白いのは例えば日本語で入力すると、認識内容(日本語)、翻訳結果(英語)に続いて再翻訳(日本語)という具合に英文を再び日本語に訳した結果が表示されることで、翻訳結果のチェックに使える。
・Siriなどでは発音が怪しいと変な文章に変換されるけど、このアプリだと、発音がかなり怪しくてもそれなりに解釈してくれる。この挙動からみると、旅行英語として良く使われる単語を優先的に割り振るような仕掛けになっているのだろう。
・このため、少し使用頻度の低そうな単語になると、とたんに認識率が下がる。試しにiPodで読ませて、スマホのVoiceTraに聞かせても殆ど駄目だったので、私の発音が悪いこと’だけ’が原因ではないようだ。
・それなりに面白いから一応このまま入れておくかな。

前月 次月
エース